A 30-day Trial License is included out-of-the box after installation! No registration or online request required!

V4P - VHDL for Professionals


Compatibility: Visual Studio Code for Windows, Linux, MacOS

 »Cheat-Sheet
  Visual Studio Code Marketplace
  Tutorial Videos

V4P is an extension for Visual Studio Code and brings the VHDL programming language to the powerful and wide-spread open source text editor. V4P fully integrates itself into Visual Studio Code by implementing the Language Server Protocol.

Feature Highlights:
  • Full VHDL2008 support
  • Renaming, Code Assist
  • Find all references
  • Find all sources
  • Syntax highlighting
  • Semantic highlighting
  • Powerful code snippets
  • Code Formatter
  • Type-time error checking
  • Context-sensitive auto completion
  • Parameter help
  • Smart indentation
  • Tooltips/quickinfo
  • Number Conversion
  • Expression Evaluator
  • Goto Definition/Declaration
  • Outlining/Code Folding
  • Views: Libraries, Hierarchy, Outline
  • ...

  1. Install and start   Visual Studio Code
  2. Open the Extensions View by clicking the respective icon in the Activity Bar
  3. Searching for V4P and select VHDL for Professionals
  4. Click the Install button

Syntax- and Semantic Error Checks
Document Outline
Library View
Design Hierarchy View
Sophisticated Code Navigation
Renaming Symbols with Preview
Number Conversion
Expression Evaluator
Code Statistics
Per-Rootfolder Settings

  • Full VHDL 2008 support
    • Full Syntax Support: Generic Packages, Generic Subroutines, External/Hierarchical Names, Enhanced Bit String Literals, Protected Types, Contexts, Vector Aggregates, Conditional Statements, ...
    • Syntax Highlighting
    • Syntax Errors
  • Semantic Highlighting (highlight constants, types, signals, functions, etc. differently)
  • Semantic Error Checks
    • Symbol not defined
    • Signal vs. Variable assignment operator
    • Symbol already defined
    • Missing association in instance
    • End-label name mismatch
    • Symbol cannot be target of assignment
    • Symbol is never written
    • Symbol is never read
  • Parameter Help for subroutines and instances
  • Code Assist
    • Refactoring (Rename)
    • Insert missing instance associations
    • Create local signals for missing instance associations
    • Create signal declarations for unknown instance associations
  • Code Formatter (full document, selection, pragmas)
  • Code Navigation
    • Find all References
    • Find all Sources
    • Goto Definition
    • Goto Declaration
    • Goto Type Definition
  • Hover Info / Quickinfo
    • Symbol Information, Type Information
    • Recursive Type Resolution
    • Recursive Alias Resolution
    • Number Conversion
    • Expression Evaluator
  • Code Completion
    • Snippets (esp. Instances and Case Statements)
    • Context Sensitive Suggestions
    • Record / Protected Type Completion
    • External / Hierarchical Name Completion
  • Side-Panel Views
    • Document Outline
    • Access to all commands
    • Library View
    • Hierarchy View
  • Smart Indentation when typing
  • VHDL specific Outlining / Code Folding
  • Multiple VHDL libraries per Design File
  • Code Statistics (via command v4p.fileinfo)
  • Master-Config and Per-Folder Preferences
    • Indentation
    • Formatting (Keyword-style, Capitalization of Standard-Libs, ...)
    • Library assignments
    • Excludes


V3S - VHDL and SystemVerilog for Visual Studio


Compatibility: All editions of Visual Studio 2019 (Community, Professional, Enterprise)

 »Cheat-Sheet
  Visual Studio Marketplace
  Tutorial Videos

V3S is an extension for Microsoft's most excellent Visual Studio. It tightly integrates into Visual Studio and offers all features one expects from a cutting-edge Integrated Development Environment. Refactorization, code completion/autocomplete, smart indentation, and extended snippets are only some examples that will bring your coding efficiency to the next level.

Feature Highlights:
  • Full VHDL2008 support
  • Basic Verilog/SystemVerilog support
  • Renaming
  • Find all references
  • Syntax highlighting
  • Semantic highlighting
  • Hierarchy/Librarr Views
  • Smart indentation
  • Powerful code snippets
  • Powerful tooltips/quickinfo
  • Type-time error checking (syntax)
  • Type-time error checking (semantic)
  • Parameter help
  • Goto Definition/Declaration
  • Context-sensitive auto completion
  • Expression Evaluator
  • Number Conversion
  • ...

  1. Select Extensions + Manage Extensionsfrom Visual Studio's main menu
  2. Searching for V3S
  3. Select the extension, click the Download/Install button
  4. Restart Visual Studio

Coding Support

  • Full VHDL2008 support (Generic packages, external/hierarchical names, protected types, etc.)
  • Basic Verilog/SystemVerilog support (Syntax highlighting, syntax error checks, basic semantic highlighting and parsing)
  • Refactorization/Renaming (signals, variables, functions, etc.) across the entire project
  • Create Testbench Wizard creates VHDL testbenches including top-level files and Modelsim scripts
  • Find all references of symbols throughout the entire project
  • Syntax highlighting (keywords, strings, characters, numbers, bitstrings, etc.): VHDL 2008
  • Semantic highlighting (signals, ports, variables, types, functions, hierarchy/libraries, etc.)
  • Hierarchy-View shows your design in a hierarchical manner
  • Library-View shows your project organized with respect to the defined libaries
  • Parameter Help provides information about function arguments and types
  • Type-time naming conventions check
  • Type-time error checking and highlighting
  • Context sensitive code completion/autocomplete/intellisense support
  • Smart indentation
  • Smart indentation for copy/paste
  • Tooltips/Quickinfo for all kinds of identifiers, all including their location of declaration:
    • Signals: Type, default value, range
    • Types: Full declaration, recursive type resolving
    • Constants/Generics: Type, value
    • Ports: Type, default value, range, attributes (input, output, buffer, etc.)
    • Enumeration items: Parent type, full type declaration
    • Numbers/Bitstrings/etc: Conversion to hex/decimal/binary
    • ...
  • Number conversion: hex/decimal/binary/etc.
  • Expression Evaluator (for contants/default values)
  • Commenting/Uncommenting selection
  • Code Snippets for...
    • Architectures/Entites
    • Components
    • Functions/Procedures
    • Instances
    • Packages (Head + Body)
    • Synchronous/Asynchronous Processes
    • Case Statement (case ... when)
    • ...
  • Code folding/Outlining: Entities, Architectures, Processes, Functions, Procedures, Packages, Instances, Components, Loops, Generate-Blocks, Blocks, ...
  • All default Visual Studio Code Editing features: Block selection, line duplication, line movement, split screen editing, full screen view, search+replace...

Navigation Support

  • Find all references of symbols throughout the entire project
  • Quicksearch dialog for quickly searching for project files and globally defined symbols (entites, packages, etc)
  • Code folding/Outlining: Entities, Architectures, Processes, Functions, Procedures, Packages, Instances, Components, Loops, Generate-Blocks, Blocks
  • Library View: Navigate your libraries hierarchically
  • Hierarchy View: Navigate your project by design hierarchy
  • Navigation Bars support (design units one the one hand, subentities (process, functions, etc.) on the other hand)
  • Tuple highlighting: Matching braces, if-then-elsif-else-end, process-begin-end, case-when-end, etc.
  • Goto Declaration for all identifiers where applicable (e.g., components, packages, etc.)
  • Goto Definition for all identifiers of all types (signals, components, types, enums, constants, etc.)
  • All default Visual Studio Navigation features: Navigate forward, navigate backward, find, find in files, etc.
  • Separate V3S main menu entry for quick access to most important features

Project Setup

  • Sophisticated New Project Wizard
    • Import from existing Quartus Project
    • Import from existing Xilinx Project (xise, xpr)
    • Import from File System
    • Empty Project
    • Sample Project
    • Hello-World Project
  • Virtual Folders (for additional structure of files outside project directory)
  • Linked Folders (Link entire folders to your project)
  • Linked Files
  • Preferences per file (Content type, notification level, parsing options, etc.)
  • Code Statistics per file
  • Add new items: VHDL Library file, VHDL Design Unit file

Preferences

  • Editable colors for syntax/semantic highlighting
  • Configurable naming rules for repective type-time checks
  • Configurable notification levels for all code checks
  • Configurable settings for code formatting (indenting, newlines, etc.)
  • License setup/information
  • Syntax coloring presets (puristic, normal, colorful, dark theme)
  • Version information, Online update check
  • All Visual Studio Preferences affecting code editing and Visual Studio appearance and behavior

Others

  • Recommended Extensions which work fine in combination with V3S:
    • Productivity Power Tools (Microsoft, free)
    • Highlight all occurrences of selected word (Microsoft, free)
  • All features Visual Studio offers in addition to editing code files (CVS/SVN/GIT support, etc.)
  • Offline license files (no internet connection required)
  • Up to four workstations per license

V3S is not (and will not be) supported in Visual Studio 2022
Active development of V3S has stopped. It is replaced by V4P.